Nov 6, 2007

set false path

A mistake in my work:
In the synthesis script, we use the script below to set false path between each clock.
set _all_clks [all_clocks];
foreach_in_collection _clk $_all_clks {
foreach_in_collection _other_clk [remove_from_collection $_all_clks $_clk] {
set_false_path -from $_clk -to $_other_clk;
}
}

However, there are two clocks with the same source(frenquence&phase) but different clock gatin cell , and we think they are the same in the design. So we need reset them.
reset_path -from A_CLK -to B_CLK;
reset_path -from B_CLK -to A_CLK;

No comments:

Post a Comment